Module Vector


module Vector: sig  end

type t = int * int 
val zero : t
val (++) : t -> t -> t
val (--) : t -> t -> t
val (**) : t -> int -> t
val (//) : t -> int -> t
val length : t -> float